PML: UMA LINGUAGEM PARA MODELAGEM E AVALIAÇÃO DOS PROCESSADORES

Max Miller Silveira, Márcio Kreutz, Ana Luisa Medeiros, Jonathan Wanderley

Resumo


Linguagens para Modelagem de Domínios Específicos fazem uso de preceitos da Engenharia Dirigida a Modelos para a definição de componentes de software e de hardware relacionados a um domínio específico. Nesse contexto, essas linguagens são concebidas para darem suporte a mais de um nível de abstração, além de trazer fácil conhecimento e validação a respeito da semântica e de possíveis relacionamentos entre estes. Em modelagem de componentes de hardware, podem oferecer suporte para geração de modelos simuláveis de arquiteturas de processadores, facilitando o projeto de diversas configurações a serem testadas frente às restrições de projeto. Nesse sentido, esse trabalho apresenta uma Linguagem de Domínio Específico chamada PML (Processor Modelling Language), para a especificação de modelos de arquiteturas de processadores, bem como uma ferramenta para edição e geração de descrições de processadores em diferentes níveis de abstração. Essas descrições são simuláveis podendo então serem avaliadas em relação às suas funcionalidades, restrições de projeto e desempenho de simulação.


Palavras-chave


DSML; EMF; Engenharia dirigida a modelos; Meta-modelos; Arquitetura de processadores

Texto completo: PDF

Todo conteúdo da revista está sob a licença 

Revista de Sistemas e Computação. ISSN 2237-2903